اختر بلدك أو منطقتك.

EnglishFrançaispolskiSlovenija한국의DeutschSvenskaSlovenskáMagyarországItaliaहिंदीрусскийTiếng ViệtSuomiespañolKongeriketPortuguêsภาษาไทยБългарски езикromânescČeštinaGaeilgeעִבְרִיתالعربيةPilipinoDanskMelayuIndonesiaHrvatskaفارسیNederland繁体中文Türk diliΕλλάδαRepublika e ShqipërisëአማርኛAzərbaycanEesti VabariikEuskera‎БеларусьíslenskaBosnaAfrikaansIsiXhosaisiZuluCambodiaსაქართველოҚазақшаAyitiHausaКыргыз тилиGalegoCatalàCorsaKurdîLatviešuພາສາລາວlietuviųLëtzebuergeschmalaɡasʲМакедонскиMaoriМонголулсবাংলা ভাষারမြန်မာनेपालीپښتوChicheŵaCрпскиSesothoසිංහලKiswahiliТоҷикӣاردوУкраїнаO'zbekગુજરાતીಕನ್ನಡkannaḍaதமிழ் மொழி

هل "فاصل" FinFET قادم؟

إذا أعلنت شركة Samsung في منتصف عام 2019 أنها ستطلق تقنية "الالتفاف حول البوابة (GAA)" في عام 2021 لتحل محل تقنية الترانزستور FinFET ، فلا يزال FinFET هادئًا ؛ حتى يومنا هذا ، أعلنت Intel أن عمليتها 5nm ستتخلى عن FinFET وستنتقل إلى GAA ، وهناك بالفعل علامات على تحول العمر. اختار عمالقة المسبك الثلاثة الكبرى GAA بالفعل. على الرغم من أن خط دائرة TSMC كقائد للمسبك "لا يتحرك" ، يبدو أنه لا يوجد أي تشويق. هل FinFET حقًا في نهاية التاريخ؟

مجد FinFET

بعد كل شيء ، عندما ظهرت FinFET لأول مرة على أنها "منقذ" ، حملت "مهمة" مهمة من قانون مور لمواصلة التقدم.

مع تطوير تقنية المعالجة ، يصبح تصنيع الترانزستورات أكثر صعوبة. تم بناء أول قلاب دائري متكامل في عام 1958 باستخدام اثنين فقط من الترانزستورات ، واليوم تحتوي الشريحة بالفعل على أكثر من مليار ترانزستور. تأتي هذه القوة المحركة من التقدم المستمر لعملية تصنيع السليكون المسطحة تحت قيادة قانون مور.

عندما يقترب طول البوابة من علامة 20 نانومتر ، تنخفض القدرة على التحكم في التيار بشكل حاد ، ويزداد معدل التسرب وفقًا لذلك. يبدو أن هيكل MOSFET المستوي التقليدي في "النهاية". اقترح البروفيسور Zhengming Hu من الصناعة حلين: أحدهما هو ترانزستور FinFET ذو هيكل ثلاثي الأبعاد ، والآخر هو تقنية الترانزستور FD-SOI القائمة على تكنولوجيا SOI فائقة السليكون على العازل.

سمحت FinFET و FD-SOI لقانون مور بالاستمرار في الأسطورة ، لكن الاثنين اتخذوا مسارات مختلفة بعد ذلك. تتصدر عملية FinFET القائمة أولاً. طرحت إنتل لأول مرة تقنية FinFET التجارية في عام 2011 ، مما أدى إلى تحسن كبير في الأداء وخفض استهلاك الطاقة. حققت TSMC أيضًا نجاحًا كبيرًا باستخدام تقنية FinFET. في وقت لاحق ، أصبح FinFET التيار العالمي. اختيار "فوجي" Yuanchang.

في المقابل ، يبدو أن عملية FD-SOI تعيش في ظل FinFETs. على الرغم من أن معدل تسرب عملياتها منخفض واستهلاكها للطاقة له مزايا ، إلا أن الرقائق المصنعة لها تطبيقات في إنترنت الأشياء والسيارات والبنية التحتية للشبكة والمستهلك وغيرها من المجالات ، بالإضافة إلى قوة العمالقة مثل Samsung و GF و IBM و ST ، وما إلى ذلك ، أدى الدفع إلى فتح عالم في السوق. ومع ذلك ، أشار قدامى المحاربين في الصناعة إلى أنه نظرًا لارتفاع تكلفة الركيزة ، فإنه من الصعب تصغير الحجم مع تحركه إلى أعلى ، وأعلى مستوى يصل إلى 12 نانومتر ، وهو أمر يصعب استمراره في المستقبل.

على الرغم من أن FinFET قد احتلت الصدارة في منافسة "خيارين واحد" ، مع تطبيق إنترنت الأشياء والذكاء الاصطناعي والقيادة الذكية ، فقد جلبت تحديات جديدة إلى ICs ، خاصة تكاليف التصنيع والبحث والتطوير لـ FinFETs تزداد أعلى وأعلى. لا يزال بإمكان 5nm إحراز تقدم كبير ، ولكن يبدو أن تدفق تاريخ العملية مقدر لـ "الدوران" مرة أخرى.

لماذا GAA؟

مع تولي سامسونج زمام المبادرة ، والمتابعة مع Intel ، أصبحت GAA فجأة هي المبتدئ لتولي FinFET.

الفرق من FinFET هو أن هناك بوابات حول الجوانب الأربعة لقناة تصميم GAA ، مما يقلل من جهد التسرب ويحسن التحكم في القناة. هذه خطوة أساسية عند تقليل عقد العملية. من خلال استخدام تصميمات ترانزستور أكثر كفاءة ، إلى جانب عقد أصغر ، يمكن تحقيق استهلاك أفضل للطاقة.

ذكر كبار السن أيضًا أن الطاقة الحركية للعقد العملية هي تحسين الأداء وتقليل استهلاك الطاقة. عند تقدم عقدة العملية إلى 3 نانومتر ، لم يعد اقتصاد FinFET ممكنًا وسيتحول إلى GAA.

متفائلة من سامسونج بأن تقنية GAA يمكنها تحسين الأداء بنسبة 35٪ ، وخفض استهلاك الطاقة بنسبة 50٪ ، ومساحة الرقاقة بنسبة 45٪ مقارنة بعملية 7 نانومتر. تشير التقارير إلى أن الدفعة الأولى من رقائق الهواتف الذكية 3nm Samsung المزودة بهذه التقنية ستبدأ الإنتاج الضخم في عام 2021 ، وسيتم إنتاج رقائق أكثر تطلبًا مثل معالجات الرسومات وشرائح الذكاء الاصطناعي لمركز البيانات بكميات كبيرة في عام 2022.

تجدر الإشارة إلى أن تقنية GAA لديها أيضًا العديد من الطرق المختلفة ، ويجب التحقق من التفاصيل المستقبلية. علاوة على ذلك ، فإن التحول إلى GAA ينطوي بلا شك على تغيير في الهندسة المعمارية. يشير المطلعون على الصناعة إلى أن هذا يطرح متطلبات مختلفة للمعدات. ويذكر أن بعض الشركات المصنعة للمعدات تقوم بالفعل بتطوير معدات النقش الخاصة والأغشية الرقيقة.

جبل شينخوا على السيف؟

في سوق FinFET ، تبرز TSMC ، وتكافح Samsung و Intel للحاق بها. الآن يبدو أن GAA موجود بالفعل في السلسلة. السؤال هو ، ماذا سيحدث لجمود "الممالك الثلاث"؟

من سياق Samsung ، تعتقد Samsung أن رهانات تكنولوجيا GAA تتقدم على منافسيها بسنة أو سنتين ، وسوف تضع وتحافظ على ميزتها الأولى في هذا المجال.

لكن إنتل طموحة أيضًا ، وتهدف إلى استعادة القيادة في GAA. أعلنت إنتل أنها ستطلق تقنية معالجة 7 نانومتر في عام 2021 وستطور 5 نانومتر على أساس عملية 7 نانومتر. وتشير التقديرات إلى أن الصناعة ستشهد "قدرتها الحقيقية" في عملية 5 نانومتر في وقت قريب من عام 2023.

على الرغم من أن Samsung هي الشركة الرائدة في تقنية GAA ، مع الأخذ في الاعتبار قوة Intel في تقنية المعالجة ، فقد تحسن أداء عملية GAA أو أصبح أكثر وضوحًا ، ويتعين على Intel أن تستبطن نفسها ولم تعد تتبع طريق "المسيرة الطويلة" لعملية 10nm.

في الماضي ، كان TSMC منخفضًا للغاية وحذرًا. على الرغم من أن TSMC أعلنت أن عملية 5nm للإنتاج الضخم في عام 2020 لا تزال تستخدم عملية FinFET ، فمن المتوقع أن تتقدم عملية 3nm الخاصة بها إلى الإنتاج الضخم في عام 2023 أو 2022. وفقًا لمسؤولي TSMC ، سيتم الإعلان عن تفاصيل 3nm الخاصة بها في منتدى أمريكا الشمالية للتكنولوجيا في 29 أبريل. بحلول ذلك الوقت ، ما نوع الحيل التي ستقدمها TSMC؟

بدأت معركة GAA بالفعل.